Veeco Instruments, a global leader of advanced thin film etch and deposition process equipment, and Ultratech, a leading supplier of lithography, laser-processing and inspection systems used to manufacture semiconductor devices and LEDs, today announced that they have signed a definitive agreement for Veeco Instruments Inc. (“Veeco”) to acquire Ultratech, Inc. (“Ultratech”). The Boards of Directors of both Veeco and Ultratech have unanimously approved the transaction.

Ultratech shareholders will receive (i) $21.75 per share in cash and (ii) 0.2675 of a share of Veeco common stock for each Ultratech common share outstanding. Based on Veeco’s closing stock price on February 1, 2017, the transaction consideration is valued at approximately $28.64 per Ultratech share. The implied total transaction value is approximately $815 million and the implied enterprise value is approximately $550 million, net of Ultratech’s net cash balance as of December 31, 2016. Post transaction, it is projected that Ultratech shareholders will own approximately 15 percent of the combined company.

Ultratech is a recognized leader of lithography products for Advanced Packaging applications and for LEDs and is a pioneer for laser spike anneal technology used for production of semiconductor devices. In addition, the company offers wafer inspection solutions leveraging its proprietary coherent gradient sensing (CGS) technology which address a wide variety of semiconductor applications.

The strategic combination will establish Veeco as a leading equipment supplier in the high growth Advanced Packaging industry. Ultratech’s leadership in lithography together with Veeco’s Precision Surface Processing (PSP) solutions form a strong technology portfolio to address the most critical Advanced Packaging applications. We believe our complementary end market exposure and customer relationships will create the ideal platform to accelerate growth,” said John R. Peeler, Veeco’s Chairman and Chief Executive Officer. “Ultratech is a great fit with our strategy to profitably grow our business and diversify our revenue. We expect this transaction to be immediately accretive to adjusted EBITDA and non-GAAP EPS.”

Ultratech Chairman and Chief Executive Officer, Arthur W. Zafiropoulo said, “Both companies have a strong heritage of developing innovative and cutting-edge technologies. The combined company will create a formidable team to execute against growth opportunities and deliver significant value to customers and shareholders."

Veeco expects to realize approximately $15 million in annualized run rate synergies within 24 months after closing, to be achieved through increased efficiencies and leveraging the scale of the combined businesses. The combined company is expected to have an efficient balance sheet, benefiting from the deployment of excess cash.

The transaction is expected to close in the second calendar quarter of 2017, subject to approval by Ultratech shareholders, regulatory approvals in the U.S. and other customary closing conditions.

Conference Call

Veeco and Ultratech management will host a conference call today to discuss the transaction at 2:00 p.m. PT / 5:00 p.m. ET. This call will replace Ultratech’s previously scheduled earnings call which has been canceled. The call can be accessed by dialing 800-723-6751 (toll free) or 785-830-7980 using passcode 7590036. The call will also be webcast live on the Veeco website at ir.veeco.com and on the Ultratech website at ir.ultratech.com. A replay of the webcast will be made available on the Veeco and Ultratech websites beginning at 8:00pm ET this evening. An accompanying slide presentation will be posted to the Veeco website prior to the beginning of the call.

About Veeco

Veeco's process equipment solutions enable the manufacture of LEDs, displays, power electronics, compound semiconductors, hard disk drives, semiconductors, MEMS and wireless chips. We are the leader in MOCVD, MBE, Ion Beam, Wet Etch single wafer processing and other advanced thin film process technologies. Our high performance systems drive innovation in energy efficiency, consumer electronics and network storage and allow our customers to maximize productivity and achieve lower cost of ownership. For information on our company, products and worldwide service and support, please visit www.veeco.com.

About Ultratech

Ultratech designs, builds and markets manufacturing systems for the global technology industry. Founded in 1979, Ultratech serves three core markets: frontend semiconductor, backend semiconductor, and nanotechnology. The company is the leading supplier of lithography products for bump packaging of integrated circuits and high-brightness LEDs. Ultratech is also the market leader and pioneer of LSA technology for the production of advanced semiconductor devices. In addition, the company offers solutions leveraging its proprietary CGS technology to the semiconductor wafer inspection market and provides ALD tools to leading research organizations, including academic and industrial institutions. Visit Ultratech online at: www.ultratech.com.

Source: www.veeco.com 

arrow
arrow
    全站熱搜

    Shacho San 發表在 痞客邦 留言(0) 人氣()